Technical Reports

[2016-3]Thread-Local Semantics and its Efficient Sequential Abstractions for Race-Free Programs
Suvam Mukherjee, Oded Padon, Sharon Shoham, Deepak D’Souza, Noam Rinetzky
[2016-2]Refining Cache Behavior Prediction Using Cache Miss Paths
Kartik Nagar, Y.N.Srikant
[2016-1]Detecting All High-Level Dataraces in an RTOS Kernel
Suvam Mukherjee, Arun Kumar and Deepak D’Souza
[2015-7]DPAssist: Automated Feedback Generation for Iterative Dynamic Programming Assignments
Shalini Kaleeswaran, Anirudh Santhiar, Aditya Kanade, Sumit Gulwani

Thread-Local Semantics and its Efficient Sequential Abstractions for Race-Free Programs
Suvam Mukherjee, Oded Padon, Sharon Shoham, Deepak D’Souza, Noam Rinetzky
[2016-2]Refining Cache Behavior Prediction Using Cache Miss Paths
Kartik Nagar, Y.N.Srikant
[2016-1]Detecting All High-Level Dataraces in an RTOS Kernel
Suvam Mukherjee, Arun Kumar and Deepak D’Souza
[2015-7]DPAssist: Automated Feedback Generation for Iterative Dynamic Programming Assignments
Shalini Kaleeswaran, Anirudh Santhiar, Aditya Kanade, Sumit Gulwani

2015-6 Temporarily Removed


[2015-5]Falcon: A Graph Manipulation Language for Heterogeneous Systems
Unnikrishnan C, Y.N.Srikant & Rupesh Nasre
[2015-4]A theory of refinement for ADT’s with functional interfaces
Sumesh Divakaran, Deepak D’Souza, Prahladavaradan Sampath, Nigamanth Sridhar, and Jim Woodcock
[2015-3]Polymage: Automatic Optimization for Image Processing Pipelines
Ravi Teja Mallapudi, Vinay Vasista & Uday Bondhugula
[2015-2]Efficient Compilation of Stream Programs for Heterogeneous Architectures: A Model-Checking based approach
Rajesh Kumar Thakur & Y.N.Srikant
[2015-1]Shared Instruction Cache Analysis in Real-time Multi-core Systems
Kartik Nagar & Y.N.Srikant
[2014-3]Automatic Intra-Array Storage Optimization
Somashekaracharya G Bhaskaracharya, Uday Bondhugula & Albert Cohen
[2014-2]Effective Automatic Data Allocation for Parallelization of Affine Loop Nests
Chandan Reddy and Uday Bondhugula
[2014-1]Handling Negative Coefficients in Automatic Transformation Schedules
Uday Bondhugula and Albert Cohen[2013-4]A Compositional Refinement Technique for Verifying Abstract Data Type Implementations
Sampath, Nigamanth Sridhar, and Jim Woodcock[2013-3]Extraction of Robust Voids and Pockets in Proteins
Raghavendra Sridharamurthy, Harish Doraiswamy, Siddharth Patel, Raghavan Varadarajan, and Vijay Natarajan[2013-2]Exploiting Critical Data Regions to Reduce Data Cache Energy Consumption
Ananda Vardhan , Y.N.Srikant[2013-1]A clock-optimal hierarchical monitoring automaton construction for MITL
Raj Mohan & Deepak D’Souza[2012-3]Modeling Statement Context to Surface even Rare Diffused Topics Automatically
Suparna Bhattacharya, Mrinal Kanti Das, Chiranjib Bhattacharyya, K. Gopinath[2012-2]Interdependent Cache Analyses for better precision and Safety
Kartik Nagar & Y N Srikant[2012-1]Model-checking bisimulation-based information flow properties for pushdown systems
Deepak D’souza & K.R.Raghavendra[2011-4]TCP: Thread Contention Predictor for Parallel Programs
Aparna Mandke, Bharadwaj Amrutur, Y. N. Srikant and Chiranjib Bhattacharyya[2011-3]Scalable Working Set Estimation Method for Chip Multicores Using Tagged Bloom Filter And Its Applicaions
Aparna Mandke, Bharadwaj Amrutur & Y N Srikant[2011-2]Adaptive Power Optimization of Onchip SNUCA Cacheon Tiled Chip Multicore Architecture using Remap Policy
Aparna Mandke, Bharadwaj Amrutur & Y N Srikant[2011-1]A Framework for Online Visualization and Simulation of Critical Weather Applications
Preeti Malakar, Vijay Natarajan & Sathish S Vadhiyar[2010-8]Dataflow Analysis for Datarace-free Programs
Arnab De, Deepak D’Souza & Rupesh Nasre[2010-7]Applying Genetic Algorithms to Optimize Power in Tiled SNUCA Chip Multicore Architectures
Aparna Mandke, Bharadwaj Amrutur & Y.N.Srikant[2010-6]Conflict-Tolerant Specifications for Hybrid Systems
Deepak D’ Souza, Madhu Gopinathan, S.Ramesh, Prahladavaradan Sampath[2010-5]Design and Implementation of a Flexible and Memory Efficient Operating System for Sensor Nodes
R.C.Hansdah, Deepak Ravi, Sahebrao Sidram Baiger, Amulya Ratna[2010-3]Sapphire: A Framework to Explore Power/Performance Implications of Tiled Architecture on Chip Multicore Platform
Aparna Mandke, Keshavan Varadarajan, Basavaraj Talwar, Bharadwaj Amruthur and Y.N.Srikant[2009-12]Petrinet based Performance Modeling for Effective DVFS for Multithreaded Programs
Arun R and Y.N.Srikant[2009-11]Pragmatic Data Mining: Novel Paradigms for Tackling Key Challenges
Vikas Garg, M.Narasimha Murthy[2009-10]Accelerating Multi-core Simulators
Aparna Mandke, Keshavan Varadarajan, Amrutur Bhardwaj, Y.N.Srikant
[2009-9]Conflict-Tolerant Real-Time Specifications in Metric Temporal Logic
Sumesh Divakaran, Deepak D’Souza, Raj Mohan M.[2009-8]Conflict-Tolerant Specifications in Temporal Logic
Sumesh Divakaran, Deepak D’Souza, Raj Mohan M.[2009-7]Popular Matchings with variable job capacities
Telikepalli Kavitha and Meghana Nasre[2009-5]A Computational Procedure for General-sum Stochastic Games
Prasad H. L., S. Bhatnagar, N. Hemachandra[2009-4]
[2009-3]


[2009-1]Analysing Message Sequence Graph Specifications
Joy Chakraborty, Deepak D’Souza, K. Narayan Kumar[2007-1]Counter-free input-determined timed automata
Fabrice Chevalier, Deepak D’Souza, Pavithra Prabhakar

Year Index

2009 2007 2006 2005 2004 2003 2002 2001 2000
1999 1998 1997 1996 1995 1994 1993 1990
1989

Hard Copies

Requests for hard copies of technical reports must be addressed to the CSA office.

E-mail address:office@csa.iisc.ernet.in
Postal address:Computer Science and Automation
Indian Institute of Science
Bangalore – 560 012
Karnataka, India.

Please indicate the TR number, the title and the authors.

Problems ? Contact techrep@csa.iisc.ernet.in
[Updated at 2009-10-22T06:42Z]